diff --git a/vcg/simplex/face/component_ocf.h b/vcg/simplex/face/component_ocf.h index a09e84f7..2707dc22 100644 --- a/vcg/simplex/face/component_ocf.h +++ b/vcg/simplex/face/component_ocf.h @@ -370,7 +370,7 @@ public: std::vector CDV; std::vector MV; std::vector NV; - std::vector QV; + std::vector QV; std::vector WCV; std::vector WNV; std::vector WTV;